FRDM-MCXN947开发板之i2c应用

cnblogs 2024-06-10 13:15:02 阅读 84

FRDM-MCXN947开发板之i2c应用

BME280和SSD1306模块bring up

介绍

MCXN947

NXP FRDM-MCXN947开发板是一款基于MCXN947 MCU的低成本评估板,MCU集成了双核Arm Cortex-M33微控制器和一个神经处理单元(NPU)。开发板由一个MCXN947控制器和一个64 Mbit外部串行闪存组成。该板还具有P3T1755DP I3C温度传感器,TJA1057GTK/3Z CAN PHY,以太网PHY, SDHC电路(卡槽为DNP), RGB LED,触摸板,高速USB,按钮,和MCU-Link调试接口。该板兼容Arduino屏蔽模块,Pmod板,mikroBUS。该板还支持摄像头模块和NXP低成本LCD模块PAR-LCD-S035

image

开箱视频

我通过参过RT-Thread社区的活动,拿到了京东的包裹,板子的开箱视频:FRDM-MCXN947开发板开箱_哔哩哔哩_bilibili

开发环境

基本的开发资料有以下几个,软件包或者资料都可以在NXP官网、Keil的官网找到,插一句话,最近Keil免费了

  1. MDK531
  2. NXP.MCXN947_DFP.17.0.0
  3. rt_vsnprintf_full-latest.zip开发包
  4. 官方的文档:UM12018.pdf
  5. RT-Thread GitHub仓库最新代码

开发环境搭建请参考视频:FRDM-MCXN947开发板开发环境上手_哔哩哔哩_bilibili

实验目的

最近南方地区都在下暴雨,气候闷热潮湿,人们出门都在时刻关注天气的变化情况;刚好这个时候RT-Thread社区给我送来一款包装精致的NXP开发板,让我手头上的BME280温湿度气压传感器有了用武之地;BME280采用i2c接口和主机通信,能实时监控室内、室外的温度、湿度、大气压情况,基于它我们能做很多工业、物联网、医疗、汽车方面的应用

实验准备

我们需要准备以下材料

  • NXP FRDM-MCXN947开发板
  • 温湿度气压模块BME280 (i2c接口)
  • SSD1306 OLED模块(i2c接口)
  • 公母头杜邦线若干

模块电路

板载资源

本次实验是通过软件i2c + 硬件i2c方式来进行通信,软件i2c采用引脚P0_4 (SCL)和P0_5 (SDA) ,硬件i2c采用引脚P0_25 (SCL)和P0_24 (SDA),前者位于J9内侧的第8和第9引脚,后者位于J2外侧的第7和第5引脚,引脚图参考如下,注意不要接错

image

实物连接

软件i2c口接OLED SSD1306模块,硬件i2c口接BME280模块,电源VCC和GND在J8和J6上面都有,千万别接错了!

image

程序设计

模块配置

克隆rt-thread官方仓库的代码,MCXN947板子的最小例程在bsp\nxp\mcx\mcxn\frdm-mcxn947目录下

git clone https://github.com/RT-Thread/rt-thread.git

用RT-Thread Studio导入frdm-mcxn947工程,然后打开env工具

image

在env终端输入命令menuconfig,配置rt-thread工程

image

RT-Thread Components下找到Device DriversSelect进去,软件i2c引脚配置如下

image

Hardware Drivers Config下找到On-chip Peripheral DriversSelect进去,硬件i2c引脚配置如下

image

找到RT-Thread online packages -> peripheral libraries and drivers -> ssd1306Select进去,配置SSD1306模块,记得改掉I2c bus name和开启ssd1306的sample选项,这里名称为i2c2,和上面配置的软件i2c名称一致

image

配置完后通过Exit退出,先更新软件包,再导出为mdk5工程,然后用Keil5打开

pkgs --update

scons --target=mdk5

编译工程

需要注释一些代码确保编译通过

ssd1306_tests.h

image

ssd1306.h

image

编码集成

SSD1306

调用初始化接口并设置背景为黑色

ssd1306_Init();

ssd1306_Fill(Black);

绘图接口示范,先往buffer里边填字符串数据,然后设置坐标,再绘制字符

rt_memset(buffer, SIZE, 0);

rt_snprintf(buffer, SIZE, "Temp : %d'C\r\n",(int)temp_act);

ssd1306_SetCursor(2, 26);

ssd1306_WriteString(buffer, Font_6x8, White);

BME280

readCalibrationDatacalibration_Tcalibration_Pcalibration_H用于读取和校准BME280的数据

static unsigned long int hum_raw,temp_raw,pres_raw;

static rt_uint8_t data[8];

static signed long int t_fine;

static uint16_t dig_T1;

static int16_t dig_T2;

static int16_t dig_T3;

static uint16_t dig_P1;

static int16_t dig_P2;

static int16_t dig_P3;

static int16_t dig_P4;

static int16_t dig_P5;

static int16_t dig_P6;

static int16_t dig_P7;

static int16_t dig_P8;

static int16_t dig_P9;

static int8_t dig_H1;

static int16_t dig_H2;

static int8_t dig_H3;

static int16_t dig_H4;

static int16_t dig_H5;

static int8_t dig_H6;

static signed long int temp_cal;

static unsigned long int press_cal,hum_cal;

static double temp_act;

static double press_act;

static double hum_act;

static void readCalibrationData()

{

uint8_t data[32];

read_bme280_reg(0x88, data, 24);

read_bme280_reg(0xa1, data + 24, 1);

read_bme280_reg(0xe1, data + 25, 7);

dig_T1 = (data[1] << 8) | data[0];

dig_T2 = (data[3] << 8) | data[2];

dig_T3 = (data[5] << 8) | data[4];

dig_P1 = (data[7] << 8) | data[6];

dig_P2 = (data[9] << 8) | data[8];

dig_P3 = (data[11]<< 8) | data[10];

dig_P4 = (data[13]<< 8) | data[12];

dig_P5 = (data[15]<< 8) | data[14];

dig_P6 = (data[17]<< 8) | data[16];

dig_P7 = (data[19]<< 8) | data[18];

dig_P8 = (data[21]<< 8) | data[20];

dig_P9 = (data[23]<< 8) | data[22];

dig_H1 = data[24];

dig_H2 = (data[26]<< 8) | data[25];

dig_H3 = data[27];

dig_H4 = (data[28]<< 4) | (0x0F & data[29]);

dig_H5 = (data[30] << 4) | ((data[29] >> 4) & 0x0F);

dig_H6 = data[31];

}

static signed long int calibration_T(signed long int adc_T)

{

signed long int var1, var2, T;

var1 = ((((adc_T >> 3) - ((signed long int)dig_T1<<1))) * ((signed long int)dig_T2)) >> 11;

var2 = (((((adc_T >> 4) - ((signed long int)dig_T1)) * ((adc_T>>4) - ((signed long int)dig_T1))) >> 12) * ((signed long int)dig_T3)) >> 14;

t_fine = var1 + var2;

T = (t_fine * 5 + 128) >> 8;

return T;

}

static unsigned long int calibration_P(signed long int adc_P)

{

signed long int var1, var2;

unsigned long int P;

var1 = (((signed long int)t_fine)>>1) - (signed long int)64000;

var2 = (((var1>>2) * (var1>>2)) >> 11) * ((signed long int)dig_P6);

var2 = var2 + ((var1*((signed long int)dig_P5))<<1);

var2 = (var2>>2)+(((signed long int)dig_P4)<<16);

var1 = (((dig_P3 * (((var1>>2)*(var1>>2)) >> 13)) >>3) + ((((signed long int)dig_P2) * var1)>>1))>>18;

var1 = ((((32768+var1))*((signed long int)dig_P1))>>15);

if (var1 == 0)

{

return 0;

}

P = (((unsigned long int)(((signed long int)1048576)-adc_P)-(var2>>12)))*3125;

if(P<0x80000000)

{

P = (P << 1) / ((unsigned long int) var1);

}

else

{

P = (P / (unsigned long int)var1) * 2;

}

var1 = (((signed long int)dig_P9) * ((signed long int)(((P>>3) * (P>>3))>>13)))>>12;

var2 = (((signed long int)(P>>2)) * ((signed long int)dig_P8))>>13;

P = (unsigned long int)((signed long int)P + ((var1 + var2 + dig_P7) >> 4));

return P;

}

static unsigned long int calibration_H(signed long int adc_H)

{

signed long int v_x1;

v_x1 = (t_fine - ((signed long int)76800));

v_x1 = (((((adc_H << 14) -(((signed long int)dig_H4) << 20) - (((signed long int)dig_H5) * v_x1)) +

((signed long int)16384)) >> 15) * (((((((v_x1 * ((signed long int)dig_H6)) >> 10) *

(((v_x1 * ((signed long int)dig_H3)) >> 11) + ((signed long int) 32768))) >> 10) + (( signed long int)2097152)) *

((signed long int) dig_H2) + 8192) >> 14));

v_x1 = (v_x1 - (((((v_x1 >> 15) * (v_x1 >> 15)) >> 7) * ((signed long int)dig_H1)) >> 4));

v_x1 = (v_x1 < 0 ? 0 : v_x1);

v_x1 = (v_x1 > 419430400 ? 419430400 : v_x1);

return (unsigned long int)(v_x1 >> 12);

}

i2c读写接口封装

static int read_bme280_reg(rt_uint8_t reg_addr, rt_uint8_t *data, rt_uint8_t len)

{

struct rt_i2c_msg msgs[2];

msgs[0].addr = BME280_ADDR;

msgs[0].flags = RT_I2C_WR;

msgs[0].buf = &reg_addr;

msgs[0].len = 1;

msgs[1].addr = BME280_ADDR;

msgs[1].flags = RT_I2C_RD;

msgs[1].buf = data;

msgs[1].len = len;

if (rt_i2c_transfer(i2c_bus, msgs, 2) == 2)

{

return RT_EOK;

}

else

return -RT_ERROR;

}

static int8_t write_bme280_reg(uint8_t reg, uint8_t *data, uint16_t len)

{

rt_uint8_t tmp = reg;

struct rt_i2c_msg msgs[2];

msgs[0].addr = BME280_ADDR; /* Slave address */

msgs[0].flags = RT_I2C_WR; /* Write flag */

msgs[0].buf = &tmp; /* Slave register address */

msgs[0].len = 1; /* Number of bytes sent */

msgs[1].addr = BME280_ADDR; /* Slave address */

msgs[1].flags = RT_I2C_WR | RT_I2C_NO_START; /* Read flag */

msgs[1].buf = data; /* Read data pointer */

msgs[1].len = len; /* Number of bytes read */

if (rt_i2c_transfer(i2c_bus, msgs, 2) != 2)

{

return -RT_ERROR;

}

return RT_EOK;

}

init_bme280用于初始化i2c设备

static int init_bme280(void)

{

i2c_bus = (struct rt_i2c_bus_device *) rt_device_find(BME280_I2C_BUS_NAME);

if (i2c_bus == RT_NULL)

{

rt_kprintf("can't find %s device!\n", BME280_I2C_BUS_NAME);

return RT_ERROR;

}

rt_uint8_t data;

int size = read_bme280_reg(0xD0, &data, 1);

rt_kprintf("bme280 device id : %x\n", data);

uint8_t osrs_t = 1; //Temperature oversampling x 1

uint8_t osrs_p = 1; //Pressure oversampling x 1

uint8_t osrs_h = 1; //Humidity oversampling x 1

uint8_t mode = 3; //Normal mode

uint8_t t_sb = 5; //Tstandby 1000ms

uint8_t filter = 0; //Filter off

uint8_t spi3w_en = 0; //3-wire SPI Disable

uint8_t ctrl_meas_reg = (osrs_t << 5) | (osrs_p << 2) | mode;

uint8_t config_reg = (t_sb << 5) | (filter << 2) | spi3w_en;

uint8_t ctrl_hum_reg = osrs_h;

write_bme280_reg(0xF2, &ctrl_hum_reg, 1);

write_bme280_reg(0xF4, &ctrl_meas_reg, 1);

write_bme280_reg(0xF5, &config_reg, 1);

readCalibrationData();

return RT_EOK;

}

将BME280的设置当作一条命令来执行

void run_bme280()

{

bme280_thread = rt_thread_create("bme280", bme280_entry, RT_NULL, 1024, 16, 20);

if(bme280_thread != RT_NULL)

{

rt_thread_startup(bme280_thread);

}

}

MSH_CMD_EXPORT(run_bme280, run bme280);

整合代码

以下代码经过测试,可以实现本次实验的所有功能

#include <rtthread.h>

#include <rtdevice.h>

#include "ssd1306.h"

#define LED_PIN ((0*32)+10)

#define BME280_I2C_BUS_NAME "i2c1"

#define BME280_ADDR 0x76

#define SIZE 50

static struct rt_i2c_bus_device *i2c_bus;

static rt_thread_t bme280_thread = RT_NULL;

static unsigned long int hum_raw,temp_raw,pres_raw;

static rt_uint8_t data[8];

static signed long int t_fine;

static uint16_t dig_T1;

static int16_t dig_T2;

static int16_t dig_T3;

static uint16_t dig_P1;

static int16_t dig_P2;

static int16_t dig_P3;

static int16_t dig_P4;

static int16_t dig_P5;

static int16_t dig_P6;

static int16_t dig_P7;

static int16_t dig_P8;

static int16_t dig_P9;

static int8_t dig_H1;

static int16_t dig_H2;

static int8_t dig_H3;

static int16_t dig_H4;

static int16_t dig_H5;

static int8_t dig_H6;

static signed long int temp_cal;

static unsigned long int press_cal,hum_cal;

static double temp_act;

static double press_act;

static double hum_act;

static char buffer[SIZE];

static signed long int calibration_T(signed long int adc_T)

{

signed long int var1, var2, T;

var1 = ((((adc_T >> 3) - ((signed long int)dig_T1<<1))) * ((signed long int)dig_T2)) >> 11;

var2 = (((((adc_T >> 4) - ((signed long int)dig_T1)) * ((adc_T>>4) - ((signed long int)dig_T1))) >> 12) * ((signed long int)dig_T3)) >> 14;

t_fine = var1 + var2;

T = (t_fine * 5 + 128) >> 8;

return T;

}

static unsigned long int calibration_P(signed long int adc_P)

{

signed long int var1, var2;

unsigned long int P;

var1 = (((signed long int)t_fine)>>1) - (signed long int)64000;

var2 = (((var1>>2) * (var1>>2)) >> 11) * ((signed long int)dig_P6);

var2 = var2 + ((var1*((signed long int)dig_P5))<<1);

var2 = (var2>>2)+(((signed long int)dig_P4)<<16);

var1 = (((dig_P3 * (((var1>>2)*(var1>>2)) >> 13)) >>3) + ((((signed long int)dig_P2) * var1)>>1))>>18;

var1 = ((((32768+var1))*((signed long int)dig_P1))>>15);

if (var1 == 0)

{

return 0;

}

P = (((unsigned long int)(((signed long int)1048576)-adc_P)-(var2>>12)))*3125;

if(P<0x80000000)

{

P = (P << 1) / ((unsigned long int) var1);

}

else

{

P = (P / (unsigned long int)var1) * 2;

}

var1 = (((signed long int)dig_P9) * ((signed long int)(((P>>3) * (P>>3))>>13)))>>12;

var2 = (((signed long int)(P>>2)) * ((signed long int)dig_P8))>>13;

P = (unsigned long int)((signed long int)P + ((var1 + var2 + dig_P7) >> 4));

return P;

}

static unsigned long int calibration_H(signed long int adc_H)

{

signed long int v_x1;

v_x1 = (t_fine - ((signed long int)76800));

v_x1 = (((((adc_H << 14) -(((signed long int)dig_H4) << 20) - (((signed long int)dig_H5) * v_x1)) +

((signed long int)16384)) >> 15) * (((((((v_x1 * ((signed long int)dig_H6)) >> 10) *

(((v_x1 * ((signed long int)dig_H3)) >> 11) + ((signed long int) 32768))) >> 10) + (( signed long int)2097152)) *

((signed long int) dig_H2) + 8192) >> 14));

v_x1 = (v_x1 - (((((v_x1 >> 15) * (v_x1 >> 15)) >> 7) * ((signed long int)dig_H1)) >> 4));

v_x1 = (v_x1 < 0 ? 0 : v_x1);

v_x1 = (v_x1 > 419430400 ? 419430400 : v_x1);

return (unsigned long int)(v_x1 >> 12);

}

static int read_bme280_reg(rt_uint8_t reg_addr, rt_uint8_t *data, rt_uint8_t len)

{

struct rt_i2c_msg msgs[2];

msgs[0].addr = BME280_ADDR;

msgs[0].flags = RT_I2C_WR;

msgs[0].buf = &reg_addr;

msgs[0].len = 1;

msgs[1].addr = BME280_ADDR;

msgs[1].flags = RT_I2C_RD;

msgs[1].buf = data;

msgs[1].len = len;

if (rt_i2c_transfer(i2c_bus, msgs, 2) == 2)

{

return RT_EOK;

}

else

return -RT_ERROR;

}

static int8_t write_bme280_reg(uint8_t reg, uint8_t *data, uint16_t len)

{

rt_uint8_t tmp = reg;

struct rt_i2c_msg msgs[2];

msgs[0].addr = BME280_ADDR; /* Slave address */

msgs[0].flags = RT_I2C_WR; /* Write flag */

msgs[0].buf = &tmp; /* Slave register address */

msgs[0].len = 1; /* Number of bytes sent */

msgs[1].addr = BME280_ADDR; /* Slave address */

msgs[1].flags = RT_I2C_WR | RT_I2C_NO_START; /* Read flag */

msgs[1].buf = data; /* Read data pointer */

msgs[1].len = len; /* Number of bytes read */

if (rt_i2c_transfer(i2c_bus, msgs, 2) != 2)

{

return -RT_ERROR;

}

return RT_EOK;

}

static void readCalibrationData()

{

uint8_t data[32];

read_bme280_reg(0x88, data, 24);

read_bme280_reg(0xa1, data + 24, 1);

read_bme280_reg(0xe1, data + 25, 7);

dig_T1 = (data[1] << 8) | data[0];

dig_T2 = (data[3] << 8) | data[2];

dig_T3 = (data[5] << 8) | data[4];

dig_P1 = (data[7] << 8) | data[6];

dig_P2 = (data[9] << 8) | data[8];

dig_P3 = (data[11]<< 8) | data[10];

dig_P4 = (data[13]<< 8) | data[12];

dig_P5 = (data[15]<< 8) | data[14];

dig_P6 = (data[17]<< 8) | data[16];

dig_P7 = (data[19]<< 8) | data[18];

dig_P8 = (data[21]<< 8) | data[20];

dig_P9 = (data[23]<< 8) | data[22];

dig_H1 = data[24];

dig_H2 = (data[26]<< 8) | data[25];

dig_H3 = data[27];

dig_H4 = (data[28]<< 4) | (0x0F & data[29]);

dig_H5 = (data[30] << 4) | ((data[29] >> 4) & 0x0F);

dig_H6 = data[31];

}

static int init_bme280(void)

{

rt_uint8_t data;

int size = read_bme280_reg(0xD0, &data, 1);

rt_kprintf("bme280 device id : %x\n", data);

uint8_t osrs_t = 1; //Temperature oversampling x 1

uint8_t osrs_p = 1; //Pressure oversampling x 1

uint8_t osrs_h = 1; //Humidity oversampling x 1

uint8_t mode = 3; //Normal mode

uint8_t t_sb = 5; //Tstandby 1000ms

uint8_t filter = 0; //Filter off

uint8_t spi3w_en = 0; //3-wire SPI Disable

uint8_t ctrl_meas_reg = (osrs_t << 5) | (osrs_p << 2) | mode;

uint8_t config_reg = (t_sb << 5) | (filter << 2) | spi3w_en;

uint8_t ctrl_hum_reg = osrs_h;

write_bme280_reg(0xF2, &ctrl_hum_reg, 1);

write_bme280_reg(0xF4, &ctrl_meas_reg, 1);

write_bme280_reg(0xF5, &config_reg, 1);

readCalibrationData();

return RT_EOK;

}

static void bme280_entry(void* paremeter)

{

init_bme280();

while(1)

{

read_bme280_reg(0xf7, data, 8);

pres_raw = (data[0] << 12) | (data[1] << 4) | (data[2] >> 4);

temp_raw = (data[3] << 12) | (data[4] << 4) | (data[5] >> 4);

hum_raw = (data[6] << 8) | data[7];

temp_cal = calibration_T(temp_raw);

press_cal = calibration_P(pres_raw);

hum_cal = calibration_H(hum_raw);

temp_act = (double)temp_cal / 100.0;

press_act = (double)press_cal;

hum_act = (double)hum_cal / 1024.0;

rt_memset(buffer, SIZE, 0);

rt_snprintf(buffer, SIZE, "Temp : %d'C\r\n",(int)temp_act);

ssd1306_SetCursor(2, 26);

ssd1306_WriteString(buffer, Font_6x8, White);

rt_memset(buffer, SIZE, 0);

rt_snprintf(buffer, SIZE, "Humi : %d %\r\n",(int)hum_act);

ssd1306_SetCursor(2, 26 + 10);

ssd1306_WriteString(buffer, Font_6x8, White);

rt_memset(buffer, SIZE, 0);

rt_snprintf(buffer, SIZE, "Press : %d Pa\r\n",(int)press_act);

ssd1306_SetCursor(2, 26 + 10 + 10);

ssd1306_WriteString(buffer, Font_6x8, White);

rt_thread_mdelay(500);

ssd1306_UpdateScreen();

}

}

void run_bme280()

{

bme280_thread = rt_thread_create("bme280", bme280_entry, RT_NULL, 1024, 16, 20);

if(bme280_thread != RT_NULL)

{

rt_thread_startup(bme280_thread);

}

}

MSH_CMD_EXPORT(run_bme280, run bme280);

int main(void)

{

i2c_bus = (struct rt_i2c_bus_device *) rt_device_find(BME280_I2C_BUS_NAME);

if (i2c_bus == RT_NULL)

{

rt_kprintf("can't find %s device!\n", BME280_I2C_BUS_NAME);

return RT_ERROR;

}

ssd1306_Init();

ssd1306_Fill(Black);

rt_pin_mode(LED_PIN, PIN_MODE_OUTPUT);

for (;;)

{

rt_pin_write(LED_PIN, PIN_HIGH);

rt_thread_mdelay(500);

rt_pin_write(LED_PIN, PIN_LOW);

rt_thread_mdelay(500);

}

}

实验效果

用串口工具打开开发板对应的串口,命令行输入run_bme280

image

效果如下,OLED实时展示当前环境的温度、湿度、大气压

image

总结

  • 技术离不开应用、离不开生活,学习技术是为了更好的服务于社会
  • NXP的硬件i2c比较复杂,官方的demo比较多、配置也复杂,理解起来确实有一点难度,我在用i2c-tool工具的时候遇到了一些问题,目前还在分析、定位中


声明

本文内容仅代表作者观点,或转载于其他网站,本站不以此文作为商业用途
如有涉及侵权,请联系本站进行删除
转载本站原创文章,请注明来源及作者。