《DNK210使用指南 -CanMV版 V1.0》第十九章 machine.PWM类实验

cnblogs 2024-08-14 17:15:00 阅读 56

第十九章 machine.PWM类实验

1)实验平台:正点原子DNK210开发板

2)章节摘自【正点原子】DNK210使用指南 - CanMV版 V1.0

3)购买链接:https://detail.tmall.com/item.htm?&id=782801398750

4)全套实验源码+手册+视频下载地址:http://www.openedv.com/docs/boards/k210/ATK-DNK210.html

5)正点原子官方B站:https://space.bilibili.com/394620890

6)正点原子K210技术交流企鹅群:605557868

本章将介绍machine模块中的PWM类。通过本章的学习,读者将学习到machine模块中PWM类的使用。

本章分为如下几个小节:

19.1 machine.PWM类介绍

19.2 硬件设计

19.3 程序设计

19.4 运行验证

19.1 machine.PWM类介绍

machine.PWM类是machine模块内提供的类,该类主要用于产生PWM(Pulse-width modulation,脉冲宽度调制),且能够任意通过Kendryte K210上的47个自由IO进行输出。每个PWM都依赖于一个Timer对象,且当Timer对象于PWM对象绑定后,Timer对象便不能再做为普通的定时器使用。因为Kendryte K210拥有3个硬件定时器,且每个硬件定时器有4个通道,因此使用machine.PWM类最大可以同时产生12路PWM。

machine.PWM提供了PWM构造函数,用于创建一个PWM对象,PWM构造函数如下所示:

<code>class PWM(tim, freq=None, duty=None, pin=-1, enable=True)

通过PWM构造函数可以通过指定参数创建并初始化一个PWM对象

tim指的是Timer对象,Timer对象可以通过machine.Timer类中的Timer构造函数进行构造。

freq指的是PWM的频率。

duty指的是PWM占空比,即一个PWM周期中高电平所占时间的百分比。

pin指的是PWM的输出引脚,也可以不设置,而是使用FPIOA管理器进行分配。

enable指的是是否在PWM对象构造成功后便开始产生并输出PWM,当为True时,PWM对象会在被构造成功后便开始产生并输出PWM,当为False时,PWM对象在被构造成功后并不会开始产生并输出PWM。

PWM构造函数的使用示例如下所示:

from board import board_info

from machine import Timer

from machine import PWM

timer = Timer(Timer.TIMER0, Timer.CHANNEL0, MODE_PWM)

pwm = PWM(timer, freq=500000, duty=50, pin=board_info.LEDR, enable=True)

machine.PWM类为PWM对象提供了enable()方法,用于开启PWM对象产生并输出PWM,enable()方法如下所示:

PWM.enable()

enable()方法用于开启PWM对象产生并输出PWM,方法执行后,Kendryte K210上指定的IO引脚,并会输出特定频率和占空比的PWM。

enable()方法的使用示例如下所示:

from board import board_info

from machine import Timer

from machine import PWM

timer = Timer(Timer.TIMER0, Timer.CHANNEL0, MODE_PWM)

pwm = PWM(timer, freq=500000, duty=50, pin=board_info.LEDR, enable=False)

pwm.enable()

machine.PWM类为PWM对象提供了disable()方法,用于轻质PWM对象产生并输出PWM,disable()方法如下所示:

PWM.disable()

disable()方法用于停止PWM对象产生并输出PWM,方法执行后,Kendryte K210的特定IO引脚便会停止输出PWM。

disable()方法的使用示例如下所示:

from board import board_info

from machine import Timer

from machine import PWM

timer = Timer(Timer.TIMER0, Timer.CHANNEL0, MODE_PWM)

pwm = PWM(timer, freq=500000, duty=50, pin=board_info.LEDR, enable=True)

pwm.disable()

machine.PWM类为PWM对象提供了freq()方法,用于配置或获取PWM对象产生的PWM的频率,freq()方法如下所示:

PWM.freq(freq)

freq()方法用于配置或获取PWM对象产生的PWM频率。

freq指的是要配置的PWM频率,PWM频率的配置是即时生效的,或该参数为空,则freq()方法将返回当前PWM对象产生PWM的频率。

freq()方法的使用示例如下所示:

from board import board_info

from machine import Timer

from machine import PWM

timer = Timer(Timer.TIMER0, Timer.CHANNEL0, MODE_PWM)

pwm = PWM(timer, freq=500000, duty=50, pin=board_info.LEDR, enable=True)

pwm.freq(100000)

machine.PWM类为PWM对象提供了duty()方法,用于配置或获取PWM对象产生的PWM的占空比,duty()方法如下所示:

PWM.duty(duty)

duty()方法用于配置或获取PWM对象产生的PWM占空比,这里的占空比指的是PWM高电平时间占整个PWM周期的百分比。

duty指的是要配置的PWM占空比,PWM占空比的配置是即时生效的,或该参数为空,则duty()方法将返回当前PWM对象产生PWM的占空比。

duty()方法的使用示例如下所示:

from board import board_info

from machine import Timer

from machine import PWM

timer = Timer(Timer.TIMER0, Timer.CHANNEL0, MODE_PWM)

pwm = PWM(timer, freq=500000, duty=50, pin=board_info.LEDR, enable=True)

pwm.duty(66)

19.2 硬件设计

19.2.1 例程功能

  1. 创建一个PWM对象,并创建一个PWM模式的Timer对象与之绑定
  2. 按下KEY0按键后增加PWM对象输出PWM的占空比
  3. 按下KEY1按键后减少PWM对象输出PWM的占空比

19.2.2 硬件资源

  1. 双色LED

    LEDR - IO24

  2. 独立按键

    KEY0按键 - IO18

    KEY1按键 - IO19

19.2.3 原理图

本章实验内容,主要讲解machine.PWM类的使用,无需关注原理图。

19.3 程序设计

19.3.1 machine.PWM类

有关machine.PWM类的介绍,请见第19.1小节《machine.PWM类介绍》。

19.3.2 程序流程图

图19.3.2.1 machine.PWM类实验流程图

19.3.3 main.py代码

main.py中的脚本代码如下所示:

<code>from board import board_info

from fpioa_manager import fm

from maix import GPIO

import time

from machine import Timer

from machine import PWM

fm.register(board_info.KEY0, fm.fpioa.GPIOHS0)

fm.register(board_info.KEY1, fm.fpioa.GPIOHS1)

key0 = GPIO(GPIO.GPIOHS0, GPIO.IN, GPIO.PULL_UP)

key1 = GPIO(GPIO.GPIOHS1, GPIO.IN, GPIO.PULL_UP)

timer0 = Timer(Timer.TIMER0, Timer.CHANNEL0, mode=Timer.MODE_PWM)

# 构造PWM对象

pwm0 = PWM(timer0, freq=500000, duty=50, pin=board_info.LEDR, enable=True)

duty = 50

while True:

if key0.value() == 0:

time.sleep_ms(20)

if key0.value() == 0:

duty = duty + 10

while key0.value() == 0:

pass

elif key1.value() == 0:

time.sleep_ms(20)

if key1.value() == 0:

duty = duty - 10

while key1.value() == 0:

pass

if duty == 0:

duty = 1

elif duty == 110:

duty = 100

# 修改PWM占空比

if pwm0.duty() != duty:

pwm0.duty(duty)

time.sleep_ms(10)

可以看到,首先是初始化使用到独立按键的IO,然后构造了一个PWM模式的Timer对象。

接下来构造了一个PWM对象,PWM对象的配置为从红色LED连接的IO引脚输出一个频率为500KHz,占空比为50%的PWM。

最后就是在一个循环中读取按键的状态,当读取到KEY0按键被按下,则增加PWM输出的占空比,具体应表现为红色LED的亮度减少,当读取到KEY1按键被按下,则减少PWM输出的占空比,具体应表现为红色LED的亮度增加。

19.4 运行验证

将DNK210开发板连接CanMV IDE,并点击CanMV IDE上的“开始(运行脚本)”按钮后,此时,便可看到红色LED处于半亮状态,若按下KEY0按键,则可以看到红色LED的亮度减小,这是因为PWM输出的占空比增加导致的,若按下KEY1按键,则可以看到红色LED的亮度增加,这是因为PWM输出的占空比减小导致的。



声明

本文内容仅代表作者观点,或转载于其他网站,本站不以此文作为商业用途
如有涉及侵权,请联系本站进行删除
转载本站原创文章,请注明来源及作者。